Athlon 64 X2 3800+: Dual Core-Prozessor für die Massen

Der Blick auf die gemessene Speicherperformance mit Sciencemark 2 zeigt wesentliche Unterschiede zwischen der AMD- und Intel-Lösung. AMDs integrierter Speichercontroller bietet aufgrund der deutlich niedrigeren Latenz den klar besseren Speicherzugriff. Da das Speicher-Interface an die Taktrate der CPU gekoppelt ist, kann der 4800+ mit 2,4 GHz etwas höhere Leistungen bei der Speicherbandbreite als sein mit 400 MHz niedriger getaktetes Pendant 3800+ erzielen.

Sciencemark 2 (Speicher-Bandbreite, größer ist besser)
CPU Athlon 64 X2 Athlon 64 X2 Pentium D Pentium EE
Modell 3800+ 4800+ 820 840
L1-Cache 23073 MByte/s 27405 MByte/s n.a. n.a.
L2-Cache 7361 MByte/s 8833 MByte/s n.a. n.a.
Memory Bandwidth 5191 MByte/s 5442 MByte/s 4568 MByte/s 4577 MByte/s

Sciencemark 2 (Speicherzugriff, Latency, kleiner ist besser)
CPU Athlon 64 X2 Athlon 64 X2 Pentium D Pentium EE
Modell 3800+ 4800+ 820 840
L1-Cache (32 Byte) 3 cycles/s 3 cycles/s 4 cycles/s 4 cycles/s
L2-Cache (4 Byte) 3 cycles/s 3 cycles/s 6 cycles/s 6 cycles/s
L2-Cache (16 Byte) 5 cycles/s 5 cycles/s 13 cycles/s 13 cycles/s
L2-Cache (64 Byte) 17 cycles/s 17 cycles/s 27 cycles/s 27 cycles/s
L2-Cache (256 Byte) 12 cycles/s 12 cycles/s 26 cycles/s 26 cycles/s
L2-Cache (512 Byte) 13 cycles/s 13 cycles/s 25 cycles/s 25 cycles/s
Memory (4 Byte) 3 cycles/s 3 cycles/s 3 cycles/s 3 cycles/s
Memory (16 Byte) 12 cycles/s 13 cycles/s 14 cycles/s 14 cycles/s
Memory (64 Byte) 49 cycles/s 55 cycles/s 41 cycles/s 41 cycles/s
Memory (256 Byte) 104 cycles/s 112 cycles/s 254 cycles/s 273 cycles/s
Memory (512 Byte) 107 cycles/s 116 cycles/s 265 cycles/s 277 cycles/s

Themenseiten: AMD, Client & Desktop, Intel, Prozessoren

Fanden Sie diesen Artikel nützlich?
Content Loading ...
Whitepaper

Artikel empfehlen:

Neueste Kommentare 

Noch keine Kommentare zu Athlon 64 X2 3800+: Dual Core-Prozessor für die Massen

Kommentar hinzufügen

Schreibe einen Kommentar

Deine E-Mail-Adresse wird nicht veröffentlicht. Erforderliche Felder sind markiert *